可以不用@Modules注解,将所有的子模块的声明写在配置文件中吗?

可以不用@Modules注解,将所有的子模块的声明写在配置文件中吗?,第1张

在没有@Modules注释的情况下,所有子模块的声明都可以写在配置文件中吗?在没有@Modules注释的情况下,所有子模块的声明都可以写在配置文件中吗?简介: @Modules注释声明应用了所有子模块。能不能把子模块的声明放到配置文件里?解决方案:请关注 nbsp lta href = quothttps://www.oschina.net/action/GoToLin

欢迎分享,转载请注明来源:聚客百科

原文地址: https://juke.outofmemory.cn/life/1946853.html

()
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2022-10-07
下一篇 2022-10-07

发表评论

登录后才能评论

评论列表(0条)

保存